Wednesday, May 30, 2007

In the blink of an eye

Its been a long time since I blogged...
Several things have happened since then. They are:
  1. I've decided that I'm going to pursue my graduate education in Virginia Tech.
  2. My eighth semester exams just got over yesterday. I couldn't be more relieved.
  3. God willing, I'll be leaving on the third of August. Dad's really efficient.
  4. I feel kind of depressed that the PESIT chapter is nearing its end. For all its ups and downs, it was the best four years of my life..And I do realize that things will never be the same again..
  5. Can't find a number 5..so I guess that's it..
  6. Not really, ppl who read this, pls wish me luck for my project demo next week.
Joy to the world...
Pooja

5 comments:

Megha said...

entity In_the_blink_of
_an_eye is

port(mwgl: in std_logic_vector(15 downto 0);
prgl: out std_logic);
end In_the blink_of_an_eye;

architecture behavioral of In_the_blink_of_an_eye is

process(mwgl)
variable cnt: integer;
variable wish:std_logic;

cnt := conv_int(mwgl);
if(cnt/=0)
wish:=1;
else
wish:=0;
end if;

prgl<=wish;

end process;
end behavioral;

-- mwgl: meh wishes good luck
-- prgl: pooj receives good luck

Pooja said...

Hey Meh,
How long did you think to come up with this comment? Its brilliant...Thanks a lot...One more thing.."begin"s are missing :P

Anonymous said...

hahahaha.. megha!!!! yene aaythu ninge..

Aishwarya said...
This comment has been removed by the author.
Aishwarya said...

megha! u jus proved that u have awesome memory!:)